傅里叶分析、卷积_傅立叶卷积-程序员宅基地

技术标签: cnn  泛函分析  数学  Math  信号处理  

傅里叶分析

傅里叶级数(Fourier Series,周期信号)

在这里插入图片描述
如上图所示,很多个sin函数可以组合为一个类矩形(无穷多个可以组合为一个真矩形)的周期函数。

周期为 2 Π 2\Pi 2Π 的傅里叶级数

所有周期函数都可以由sin函数和cos函数组合而来,如下就是傅里叶级数的公式:
在这里插入图片描述
假设上式成立,等式左右两边同时进行如下操作:
在这里插入图片描述
因为三角函数系的正交性,上述计算过程中有很多积分为0。正交(即向量垂直)性的公式如下:
在这里插入图片描述
通过上上图,可以求得 a 2 a_2 a2
在这里插入图片描述
同理可以求 b 4 b_4 b4
在这里插入图片描述
总结:
在这里插入图片描述
既然能求出 a n a_n an b n b_n bn,那么”所有周期函数都可以由sin函数和cos函数组合而来“的说法是正确的。

参考:一次轻松搞懂傅里叶级数【超形象】

周期为 2 L 2L 2L 的傅里叶级数

如果周期不是 2 Π 2\Pi 2Π,而是 2 L 2L 2L,即,将周期为 2 L 2L 2L 的函数展开为傅里叶级数(为什么要这么想?目的是推出周期为 T T T 时的傅里叶级数):
在这里插入图片描述
在这里插入图片描述
在一些教科书上或者工程上习惯把傅里叶级数按照下面的写法(周期为 T T T 的傅里叶级数):
在这里插入图片描述
周期为2L的函数展开

傅里叶级数的复数形式

复变函数中, e ( i θ ) = ( c o s θ + i s i n θ ) e^{(i \theta)}=(cos \theta+isin \theta) e(iθ)=(cosθ+isinθ) 称为欧拉公式, e e e 是自然对数的底, i i i 是虚数单位。引入欧拉公式(Euler’s Formula),可得:
在这里插入图片描述
进一步可以得到傅里叶级数的复数形式:
在这里插入图片描述
其中, C n C_n Cn 是傅里叶级数复数形式的系数,表示如下:
在这里插入图片描述
将如下等式带入上式:
在这里插入图片描述
可得:
在这里插入图片描述
经过上面计算可以得到,对于所有的 n n n 都可以用一个表达式计算,即,对于一个周期为 T T T 的傅里叶级数的复数形式可以表示为:
在这里插入图片描述
傅里叶级数的复数形式

傅里叶级数部分解释

在这里插入图片描述
前面提到的矩形波是在时域的样子,那么在频域中是什么样子的呢?(傅里叶级数中的频域是离散的)
在这里插入图片描述
根据傅里叶分析之掐死教程(完整版)中的描述,可以根据下图理解:
在这里插入图片描述
频域中没有包括时域的全部信息,只能看到正弦波的振幅,无法看到相位。
在这里插入图片描述
参考:傅里叶分析之掐死教程(完整版)

傅里叶变换(Fourier Transformation,非周期信号)

频域和时域、周期函数和离散型函数

根据傅里叶级数复数形式(周期函数),可以解释时域和频域的关系,频率(频域, w 0 w_0 w0)是周期(时间,时域, T T T t t t)的倒数。
在这里插入图片描述
从图中可以看出,频域上是离散形式。

非周期函数和连续函数

前面介绍的傅里叶级数都是周期函数,那么非周期函数,即一般形式呢?
在这里插入图片描述
当周期 T T T 趋向无穷大的时候,周期函数变为非周期函数,而且 ( n − 1 ) w 0 − n w 0 = w 0 = 2 π / T (n-1)w_0 - nw_0 = w_0 = 2\pi/T (n1)w0nw0=w0=2π/T 趋向于0,即,从图中可以看出频域上 C n C_n Cn函数从离散变为连续。
进一步把上上图的(2)式代入(1)式,可以得到:
在这里插入图片描述
傅里叶变换和傅里叶变换的逆变换:
在这里插入图片描述
从傅里叶级数推导傅里叶变换

傅里叶级数和傅里叶变换的对比

傅里叶级数的本质是将一个周期的信号分解成无限多分开的(离散的)正弦波。但是,现实中,信号往往不是周期性的,此时傅里叶变换登场。
傅里叶级数,将时域周期且连续的函数,转换为频域非周期离散的函数。傅里叶变换,则是将一个时域非周期连续的函数,转换为一个在频域非周期连续的函数。
在这里插入图片描述
如上图,傅里叶变换,在频域上从傅里叶级数的离散谱变成了连续谱,在计算上也从求和符号变成了积分符号。
在这里插入图片描述
三个傅里叶变换的例子

因为很多在时域内看不见的特性在频域内能很清楚的得到,所以傅里叶变换的物理意义是将非周期信号用无限的周期正余弦函数进行叠加,以表示所需要时域的函数。比如说,矩形波,在时域内就一直线,当用傅里叶变换后在频域内,我们就能看见像各谐波的频率,相位,振幅,能量等信息,会给我们分析问题带来很大的方便。同时,傅里叶变换把函数变换为正弦或余弦,正余弦函数的好处就是其微分和积分也是正余弦,计算起来很方便。同时,根据欧拉公式,正余弦函数是指数为复数的指数函数,指数函数的微分积分也是它本身,这也给我们提供了非常方便的计算途径。

卷积

定义

离散形式:
在这里插入图片描述

连续形式:
在这里插入图片描述

为什么叫卷积?

卷积也是一种运算符号,跟加减乘除类似。为什么叫“卷”?先看离散的情况,根据上面的公式, τ \tau τ n − τ n-\tau nτ 的和为 n n n,如果固定 n n n,那么 τ \tau τ 是变量。若计算 f ∗ g ( 30 ) f*g(30) fg(30),计算过程可以用下图模拟:
在这里插入图片描述
上图看起来是交叉相乘,看起来不够直观,因此可以将 f f f 函数翻折一下:
在这里插入图片描述
达到如下效果:
在这里插入图片描述
这样翻折其实不能体现“卷”这个含义,其实 f f f 翻折后的效果可以用“卷”来实现,如下图:
在这里插入图片描述
如果换成连续形式,可以模拟为如下图:
在这里插入图片描述
f f f旋转180度:
在这里插入图片描述
在这里插入图片描述
同样上述翻转可以用“卷”实现:
在这里插入图片描述
卷积,先进行卷折,然后把变量对齐做乘积,最后做(累加)积分。

根据不同的 n n n,还需做平移,然后对齐做乘积,做积分(累加),平移-乘积-积分(累加),一直循环下去。

卷积定理

在泛函分析中,卷积 (Convolution) 是通过两个函数 f f f g g g 生成第三个函数的一种数学算子,表征函数 f f f g g g 经过翻转和平移的重叠部分的面积。卷积与傅里叶变换有着密切的关系。利用一点性质,即两函数的傅里叶变换的乘积等于它们卷积后的傅里叶变换,能使傅里叶分析中许多问题的处理得到简化。

定义

卷积定理:函数卷积的傅里叶变换是函数傅里叶变换的乘积。即,一个域中的卷积相当于另一个域中的乘积,例如时域中的卷积就对应于频域中的乘积: F ( g ( x ) ∗ f ( x ) ) = F ( g ( x ) ) F ( f ( x ) ) F(g(x)*f(x)) = F(g(x))F(f(x)) F(g(x)f(x))=F(g(x))F(f(x))

其中, F F F 表示的是傅里叶变换。

推导

卷积公式:
在这里插入图片描述
对其进行傅氏变换:
在这里插入图片描述
交换次序:
加粗样式
注意:CNN的卷积和GNN的卷积模仿了数学定义的卷积,并不完全相等。

傅里叶和卷积的学习顺序

在这里插入图片描述

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/Frank_LJiang/article/details/96328048

智能推荐

Unity - 通用渲染管线(URP)1.渲染、后处理_urp贴图-程序员宅基地

文章浏览阅读4.1w次,点赞24次,收藏145次。简介The Universal Render Pipeline (URP) is a prebuilt Scriptable Render Pipeline, made by Unity. The technology offers graphics that are scalable to mobile platforms, and you can also use it for higher..._urp贴图

使用 ARFoundation 实现 AR 虚拟试戴_ar试帽项目-程序员宅基地

文章浏览阅读336次。在 Hierarchy 面板中右键点击 “AR Session” 对象,选择 “XR” -> “AR Session Origin”,将其添加为 “AR Session” 的子对象。首先,打开 Unity,并在 Hierarchy 面板中右键点击创建一个空对象,将其命名为 “AR Session”。在 Inspector 面板中选择 “AR Session Origin” 对象,然后在右侧的 Inspector 面板中找到 “AR Session Origin” 组件的 “AR Session” 字段。_ar试帽项目

探索T-Writer.js:一款强大的Web文本编辑器-程序员宅基地

文章浏览阅读320次,点赞3次,收藏6次。探索T-Writer.js:一款强大的Web文本编辑器项目地址:https://gitcode.com/ChrisCavs/t-writer.jsT-Writer.js 是一个基于JavaScript的开源富文本编辑器,旨在提供一种简洁、高效的在线写作体验。它具备现代Web应用所需的多种功能,并且易于集成到你的网站或应用中。技术分析T-Writer.js 使用了最新的Web技术栈,包括:...

[PHP]学生成绩管理系统_php成绩管理系统-程序员宅基地

文章浏览阅读1w次,点赞27次,收藏175次。[PHP]学生成绩管理系统其实,这是我大一的时候,数据库的课程设计,虽然现在回看也觉得代码凌乱,但也懒得改了,之前是发在了自己搭建的博客,现在发到CSDN上来。文章目录[PHP]学生成绩管理系统1 前言2 功能模块2.1学生模块2.2 教师模块2.3 主要的文件结构3 界面设计3.1 登录界面3.2 学生主页(我的成绩)3.3 各科成绩3.4 教师主页(学生管理)3.5 学生信息详情3.6 学生信息修改3.7 添加学生信息3.8 课程管理3.9 各科成绩3.10 一键管理4 数据库设计4.1 E-R图4_php成绩管理系统

基于ssm超市库存商品管理系统的设计与实现(源码+lw+部署文档+讲解等)-程序员宅基地

文章浏览阅读775次,点赞22次,收藏24次。功能对照表的目的是帮助开发团队了解软件的功能状况,及时修复功能缺陷和错误,并提高软件的质量和稳定性。功能编号功能名称功能描述功能状态备注1用户登录用户可以通过提供用户名和密码登录系统正常用户名和密码的验证机制安全性2用户注册用户可以通过提供用户名、密码和电子邮件地址注册新的账户正常无3密码修改用户可以通过提供原密码和新密码修改已有账户的密码正常用户密码的修改操作是否需要提供安全认证4用户信息查看用户可以查看自己的个人信息,如用户名、电子邮件地址、角色等正常无。

阿里云PAI大模型RAG对话系统最佳实践_rag最佳实践-程序员宅基地

文章浏览阅读745次,点赞12次,收藏21次。通过以上更具体的步骤和考虑因素,_rag最佳实践

随便推点

vue中的js文件如何使用i18n 国际化_vue中i18n.js文件中如何调用解决,并将结果赋值给i1 8n的message-程序员宅基地

文章浏览阅读5.8k次,点赞2次,收藏6次。1.在main.js文件中引入:方式1://main.jsimport VueI18n from 'vue-i18n'Vue.use(VueI18n) // 通过插件的形式挂载,通过全局方法 Vue.use() 使用插件const i18n = new VueI18n({ locale: 'zh', // 语言标识 //this.$i18n.locale // 通过切换loca..._vue中i18n.js文件中如何调用解决,并将结果赋值给i1 8n的message

linux驱动开发:ft5x06的touch screen的IIC驱动程序编写_触摸屏a类协议和b类协议-程序员宅基地

文章浏览阅读2.8k次。触摸屏属于一个标注的input dev.所以我们按照输入子系统的流程来实现驱动开发。 实际板子与CTPM的通讯连接基于IIC总线,所以我们需要把驱动挂载到IIC总线下面去,也就是注册IIC驱动到iic_core.c中去。 实例化一个IIC设备有多种方式,仿照上一次的24cxx IIC设备的创建,我们来实现ft5x06IIC设备的创建。 因实际板子上TS IC使用的是ft5x02,所以先实例化设_触摸屏a类协议和b类协议

关于html中下拉菜单select的样式的改变_html设置select选择显示和下来显示怎么能不一致-程序员宅基地

文章浏览阅读5.2k次。关于html中下拉菜单select的样式的改变作者:菩提树下的杨过 日期:2006-06-12字体大小: 小 中 大 首先要告诉大家,如果你是用css的方法,除了箭头部分,其他都可以改变,这是很令人别扭的事,因为其他的样式改了,箭头部分改不了等于无用。下面举个css改select的例子 HTML代码 .box{border:1px solid#C0C0_html设置select选择显示和下来显示怎么能不一致

ZedBoard-自定义IP核实现+PS成功调用【详细步骤+流程介绍+源码】_zedboard教程-程序员宅基地

文章浏览阅读3.4k次。软件环境:WIN7_64 + ISE 14.4 (system_edition)硬件:Zedboard、USB-Cable线搭建图: 经过前几天的学习,查看数据手册、官方例程,笔者已经对Zedboard有了基本的了解,但是怎样才能充分发挥ZYNQ的优势呢?这个就不得不说下ZYNQ的基本架构了,它分为PS(Processing System)和PL(Progr_zedboard教程

使用H2O机器学习"十分钟"提交天池练习赛--工业蒸汽量预测,超过86%的队伍_练习赛-蒸汽数据集-程序员宅基地

文章浏览阅读430次。试用一下H2O全自动机器学习下载数据集天池练习赛"工业蒸汽量预测",下个数据集:https://tianchi.aliyun.com/competition/entrance/231693/introduction安装H2OH2O requirements:pip install requestspip install tabulatepip install ..._练习赛-蒸汽数据集

VxLAN-分布式网关_vxlan分布式网关实验-程序员宅基地

文章浏览阅读603次。vLSW1、vLSW2充当虚拟交换机,给数据添加vlan tag。由于模拟器PC不会主动发送ARP,需要用PC ping 网关地址。查看VPN实例中的路由,学习到32位主机路由。查看OSPF邻居是否建立,路由是否学习到。CE1上学习到32位主机路由(回城路由)查看BGP EVPN学习的5类路由。测试PC访问外部网络Server。查看BGP EVPN邻居关系。同子网,PC1 访问PC2。跨子网,PC1 访问PC3。查看EVPN的3类路由。查看EVPN的2类路由。查看3层VxLan隧道。查看VPN实例内路由。_vxlan分布式网关实验

推荐文章

热门文章

相关标签