时序优化实例-程序员宅基地

  这个实例我们来看看如何对设计进行时序优化,假设设计的顶层框图如图1所示, 该设计在两个系统之间实现了一个POS-PHY第三层链路。

 

 

 

图1:POS-PHY顶层设计框图

         如图所示在POS-PHY第三层接收器模块收到包之后,包检测模块分析一个包里的数据,以确保数据是正确的,比如确保包的长度是1K字,ERR标志没有被置位。接着包将会送到FFT以及一系列FIFO,外部的FIFO是为了增加系统存储能力。最后,数据包由POS-PHY第三层发送器模块发送到其它器件。

 

         该设计总共有三个时钟域,一个是外部100MHz时钟域,一个是200MHz内部时钟域,最后一个是读写外部FIFO的133MHz时钟域。

 

        下面我们利用这个设计来具体实践怎么发现设计中的时序问题,以及如何来解决这些时序问题。假设设计已经完成,我们下面按步骤一步一步来介绍。

 

         由于事先我们已经将工程建立好了,我们直接对工程进行编译,然后在编译报告里找到TimeQuest时序报告,如图2所示,SCLK时钟域存在时序违规。

 

图2:工程编译后查看时序报告

         为了查看SCLK详细时序违约情况,可以通过鼠标右击图2中第一行,从弹出的菜单里选择“Report Timing…”,如图3所示。

图3:通过报告时序命令查看时序分析细节

         选择图3所示的命令后,在弹出的命令对话框中输入一下信息,然后点击“Report Timing”,如图4所示。

图4:报告SCLK时序

         可以看到报告显示非常多的红色时序违规,很多时候面对这类时序问题,设计者往往会手足无措,因为不知道从哪里下手来解决这些问题。大家可以按照一些提示来进行分析:

 

l  找到From和To下节点,分析其类型。可以结合两个节点来分析,常常可以帮助我们理解到底哪里出了问题。比如,这两个节点是位于两个模块之间的接口还是位于一堆组合逻辑之中呢。有时候,我只需解决少量有时序问题路径,就可以同时解决一堆其它路径的时序问题。

l  找到From和To节点中你认识的节点。通过这些节点,你可以知道那些源代码或逻辑结构出现了问题。这样比较容易理解出问题的逻辑是什么以及如何来解决时序问题。

l  前面提到,当你解决某个路径的时序问题的时候,可能会不经意间解决了其它路径上的时序问题。因为编译器总是试图对所有路径进行优化,假如能通过修改代码或约束来解决某个路径的问题,那么就有利于释放编译器将更多精力放在其它有时序问题的路径上。

 

回到最初的时序报告,根据上述提示,不管有多少时序失败的红色路径,我首先来分析前面几行时序问题,最前面几行是时序最差的路径,如图5所示。

图5:时序最差几条路径

         我们看到前面7条内容差不多,那么我们来分析第一条,鼠标右击第一行任何地方,选择“Report Worst-Case Path”来观察和分析这条路径。在Statistic页面查看这条路径的上数据到达路径上的逻辑层级,当然也可以在Data Path页面下通过该路径上CELL和IC的计数也能得知该路径上的逻辑层级,如图6所示,结果是17级。

图6:查看数据到达路径上逻辑层级

         鼠标右击图6中到达路径任何单元,选择“Locate Path”,然后在弹出的对话框里选择“Technology Map Viewer”,单击OK。那么我会看到如图7所示的逻辑结构。

 

图7:寄存器之间逻辑层级过多

         如图7所示在寄存器last_data和parity_error之间总共有17级逻辑,很好地表明了这个时序应该是由过多逻辑层级造成。

 

         回到TimeQuest,我们再次使用“Locate Path”命令,这次选择使用Chip Planner来查看路径。在Chip Planner底部的Locate History窗口里双击定位的路径,根据需要可以使用放大镜调整放大倍数,我们可以看到这条路径布局布线结果如图8所示。

 

图8:布局布线结果

         图8中连线的延时信息,需要从View菜单里执行“Show Delays”来使能已经高亮的路径。可以看到该路径上所有节点只分布在相邻的两个LAB中,而且LAB之间仅有少数几根连线,这表明这是一个很好的布局,再次证明该路径时序问题是由逻辑层级过多造成。

 

         为了解决这个路径上的时序问题,可以 插入流水寄存器的方法。如果代码是你本人写的,那么这个方法是一个可行的办法。因为你会知道,发生这种奇偶校验错误时,并一定需要立即得到处理,几个时钟周期的滞后对于设计来说还是可以容忍的。所以我们可以通过修改代码来对该路径进行优化。

 

         插入流水之前,奇偶校验是这样实现的:

assign parity0  = last_data[ 0] ^ last_data[ 1];

插入流水后,将parity赋值语句放在进程里面并使用阻塞赋值,如下所示:

parity0  <= last_data[ 0] ^ last_data[ 1] ^ last_data[ 2];

通过以上修改并重新编译设计,那么奇偶校验寄存器现在都满足了时序要求。如图9所示,剩下时序问题负的slack小于1了。

图9:剩下的有时序问题路径

         从图9可以看出,时序问题仍然是SCLK时钟域,可以再次使用报告时序来对其进行分析。从报告窗口里继续使用“Report Worst-Case Path”命令来查看第一条出现时序问题的路径sop_error的更多细节。

 

图10:出现时序问题路径细节

         如图10所示,很多出错路径的To节点都是sop_error(即包错误标志开始)信号,这些路径都是从接收模块的FIFO地址寄存器到此标志信号。这就意味着,我们可以一次性解决所有这些问题。

 

         根据前面的经验,我首先来查看这条路径的逻辑层级,使用相同的方法,但是这次我们发现路径上逻辑层级很少,所以也许问题不是因为层级太多,但是为了验证我们的猜测,可以使用图形观察工具进行确认。使用“Locate Path”到“Technology Map Viewer”中进行观察,如图11所示。

 

图11:观察路径逻辑层级

         从图11可以看到,不像之前那条路径,这条路径上只有一个RAM块和3级逻辑,所以证明这个时序问题不是因为路径上有过多的逻辑层级。但是可以看到RAM的输出路径是组合逻辑,这意味着整体寄存器到寄存器延时就包括RAM块以及三级组合逻辑单元的延时。这是否是造成时序违规的原因呢?

 

         我们返回到TimeQuest中观察路径详细信息的slack报告界面,在Data Arrival Path片段,我们重点看第六行(时钟路径和数据路径已经展开,行号应该是2,因为数据路径展开在时钟路径之后)。如图12所示。

图12:详细观察数据到达路径

         我们需要图12中,注意“Type”列为CELL延时,这行显示经过器件的cell给该路径增加的延时。“Location”和“Element”显示的是该CELL实际上是一个M9K存储块,那么经过这个存储块增加了多少延时呢,可以在“Incr”列看到。因此,尽管这条路径上只有少数几级逻辑,但是此路径上的时序问题还是属于逻辑层级过多造成的时序失败,因为路径经过的存储块带来过多的延时。那么我们应该如何来解决这种问题呢?通过使用M9K块输出寄存器来手动插入流水似乎是不可能的,因为该RAM是POS-PHY函数的一部分。通过多周期路径约束应该可以解决这个问题,但是多周期路径约束会增加处理延时。

 

         这个问题,可以使用物理综合里的寄存器重定时选项来进行优化。寄存器重定时将移动关键路径上的寄存器位置来提升路径时序性能。虽然这个优化选项将会增加编译时间,但是它有可能会同时解决设计中其它的时序问题。

 

         使能寄存器重定时,可以在Quartus II软件的Assignments菜单下选择Settings,在弹出的窗口找到物理综合优化,使能寄存器重定时优化选项,同时将其“Effort level”设置为“Extra”,点击OK后重新编译工程。

 

    编译结束后,SCLK时钟域所有时序问题都得到了解决。

转载于:https://www.cnblogs.com/lianjiehere/p/3787654.html

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_30659829/article/details/98240690

智能推荐

React学习记录-程序员宅基地

文章浏览阅读936次,点赞22次,收藏26次。React核心基础

Linux查磁盘大小命令,linux系统查看磁盘空间的命令是什么-程序员宅基地

文章浏览阅读2k次。linux系统查看磁盘空间的命令是【df -hl】,该命令可以查看磁盘剩余空间大小。如果要查看每个根路径的分区大小,可以使用【df -h】命令。df命令以磁盘分区为单位查看文件系统。本文操作环境:red hat enterprise linux 6.1系统、thinkpad t480电脑。(学习视频分享:linux视频教程)Linux 查看磁盘空间可以使用 df 和 du 命令。df命令df 以磁..._df -hl

Office & delphi_range[char(96 + acolumn) + inttostr(65536)].end[xl-程序员宅基地

文章浏览阅读923次。uses ComObj;var ExcelApp: OleVariant;implementationprocedure TForm1.Button1Click(Sender: TObject);const // SheetType xlChart = -4109; xlWorksheet = -4167; // WBATemplate xlWBATWorksheet = -4167_range[char(96 + acolumn) + inttostr(65536)].end[xlup]

若依 quartz 定时任务中 service mapper无法注入解决办法_ruoyi-quartz无法引入ruoyi-admin的service-程序员宅基地

文章浏览阅读2.3k次。上图为任务代码,在任务具体执行的方法中使用,一定要写在方法内使用SpringContextUtil.getBean()方法实例化Spring service类下边是ruoyi-quartz模块中util/SpringContextUtil.java(已改写)import org.springframework.beans.BeansException;import org.springframework.context.ApplicationContext;import org.s..._ruoyi-quartz无法引入ruoyi-admin的service

CentOS7配置yum源-程序员宅基地

文章浏览阅读2w次,点赞10次,收藏77次。yum,全称“Yellow dog Updater, Modified”,是一个专门为了解决包的依赖关系而存在的软件包管理器。可以这么说,yum 是改进型的 RPM 软件管理器,它很好的解决了 RPM 所面临的软件包依赖问题。yum 在服务器端存有所有的 RPM 包,并将各个包之间的依赖关系记录在文件中,当管理员使用 yum 安装 RPM 包时,yum 会先从服务器端下载包的依赖性文件,通过分析此文件从服务器端一次性下载所有相关的 RPM 包并进行安装。_centos7配置yum源

智能科学毕设分享(算法) 基于深度学习的抽烟行为检测算法实现(源码分享)-程序员宅基地

文章浏览阅读828次,点赞21次,收藏8次。今天学长向大家分享一个毕业设计项目毕业设计 基于深度学习的抽烟行为检测算法实现(源码分享)毕业设计 深度学习的抽烟行为检测算法实现通过目前应用比较广泛的 Web 开发平台,将模型训练完成的算法模型部署,部署于 Web 平台。并且利用目前流行的前后端技术在该平台进行整合实现运营车辆驾驶员吸烟行为检测系统,方便用户使用。本系统是一种运营车辆驾驶员吸烟行为检测系统,为了降低误检率,对驾驶员视频中的吸烟烟雾和香烟目标分别进行检测,若同时检测到则判定该驾驶员存在吸烟行为。进行流程化处理,以满足用户的需要。

随便推点

STM32单片机示例:多个定时器同步触发启动_stm32 定时器同步-程序员宅基地

文章浏览阅读3.7k次,点赞3次,收藏14次。多个定时器同步触发启动是一种比较实用的功能,这里将对此做个示例说明。_stm32 定时器同步

android launcher分析和修改10,Android Launcher分析和修改9——Launcher启动APP流程(转载)...-程序员宅基地

文章浏览阅读348次。出处 : http://www.cnblogs.com/mythou/p/3187881.html本来想分析AppsCustomizePagedView类,不过今天突然接到一个临时任务。客户反馈说机器界面的图标很难点击启动程序,经常点击了没有反应,Boss说要优先解决这问题。没办法,只能看看是怎么回事。今天分析一下Launcher启动APP的过程。从用户点击到程序启动的流程,下面针对WorkSpa..._回调bubbletextview

Ubuntu 12 最快的两个源 个人感觉 163与cn99最快 ubuntu安装源下包过慢_un.12.cc-程序员宅基地

文章浏览阅读6.2k次。Ubuntu 12 最快的两个源 个人感觉 163与cn99最快 ubuntu下包过慢 1、首先备份Ubuntu 12.04源列表 sudo cp /etc/apt/sources.list /etc/apt/sources.list.backup (备份下当前的源列表,有备无患嘛) 2、修改更新源 sudo gedit /etc/apt/sources.list (打开Ubuntu 12_un.12.cc

vue动态路由(权限设置)_vue动态路由权限-程序员宅基地

文章浏览阅读5.8k次,点赞6次,收藏86次。1.思路(1)动态添加路由肯定用的是addRouter,在哪用?(2)vuex当中获取到菜单,怎样展示到界面2.不管其他先试一下addRouter找到router/index.js文件,内容如下,这是我自己先配置的登录路由现在先不管请求到的菜单是什么样,先写一个固定的菜单通过addRouter添加添加以前注意:addRoutes()添加的是数组在export defult router的上一行图中17行写下以下代码var addRoute=[ { path:"/", name:"_vue动态路由权限

JSTL 之变量赋值标签-程序员宅基地

文章浏览阅读8.9k次。 关键词: JSTL 之变量赋值标签 /* * Author Yachun Miao * Created 11-Dec-06 */关于JSP核心库的set标签赋值变量,有两种方式: 1.日期" />2. 有种需求要把ApplicationResources_zh_CN.prope

VGA带音频转HDMI转换芯片|VGA转HDMI 转换器方案|VGA转HDMI1.4转换器芯片介绍_vga转hdmi带音频转换器,转接头拆解-程序员宅基地

文章浏览阅读3.1k次,点赞3次,收藏2次。1.1ZY5621概述ZY5621是VGA音频到HDMI转换器芯片,它符合HDMI1.4 DV1.0规范。ZY5621也是一款先进的高速转换器,集成了MCU和VGA EDID芯片。它还包含VGA输入指示和仅音频到HDMI功能。进一步降低系统制造成本,简化系统板上的布线。ZY5621方案设计简单,且可以完美还原输入端口的信号,此方案设计广泛应用于投影仪、教育多媒体、视频会议、视频展台、工业级主板显示、手持便携设备、转换盒、转换线材等产品设计上面。1.2 ZY5621 特性内置MCU嵌入式VGA_vga转hdmi带音频转换器,转接头拆解

推荐文章

热门文章

相关标签