SystemVerilog : covergroup和coverpoint-程序员宅基地

技术标签: coverpoint  SystemVerilog  SV  covergroup  

通用的covergroup(带参数的covergroup)

构建通用化的covergroup需要使用参数,在创建cg实例时,将需要的特征通过参数传递进入,从而创建符合特殊要求的cg。

这样就可以在例化时选择要采样的变量。这样可以使用同一个coverpoint语句对多个变量采样,只需要将covergroup例化多份。

covergroup cg_ref (ref bit [1:0] ref_test) ;
	ref_cp : coverpoint ref_test {
    
		bins ref_bins = {
    0,2};
	}
endgroup
calss A;
	rand bit [1:0] a1;
	rand bit [1:0] a2;
	rand bit [1:0] a3
endclass
module test;
	bit [1:0] mode;
	A a_inst = new();
	cg_ref cg_inst_1 = new(mode);
	cg_ref cg_inst_2 = new(a_inst.a1);
	cg_ref cg_inst_3 = new(a_inst.a2);
	initial begin
		repeat(5) begin
			mode = $random;
			a_inst.randomize();
			cg_inst_1.sample();
			cg_inst_2.sample();
			cg_inst_3.sample();
		end
	end
endmodule

几点限制:

  • 作为参数的变量的格式需要和参数是一致的。
  • 定义covergroup的时候传递的变量参数需要带上ref关键字,否则无法正确采样
  • 多个covergroup的实例的覆盖率会总结在一起,如果需要分开打印,需要在covergroup中加上option.per_instrance= 1。

也可以将一个类实例作为参数传递进去

calss A;
	rand bit [1:0] a1;
	rand bit [1:0] a2;
	rand bit [1:0] a3
endclass
covergroup cg_ref (ref  A  inst) ;
	ref_cp : coverpoint inst.a1 {
    
		bins ref_bins = {
    0,2};
	}
endgroup
module test;
	bit [1:0] mode;
	A a_inst = new();
	cg_ref cg_inst_1 = new(a_inst);
	initial begin
		repeat(5) begin
			a_inst.randomize();
			cg_inst_1.sample();
		end
	end
endmodule

这样最后会对实例a_inst中的a1变量进行采样。

此外上述的cg中的容器是一样的,每个cg实例最后能cover到的值范围是一致的,如果想改变cover的范围,就像下面一样做:

covergroup cg(ref int array, int low, int high ) @(clk);
coverpoint// sample variable passed by reference
{
    
bins s = {
     [low : high] };
}
endgroup

int A, B;
cg rgc1 = new( A, 0, 50 );// cover A in range 0 to 50
cg rgc2 = new( B, 120, 600 );// cover B in range 120 to 600

这里可以看出如果想传递coverpoint变量就得使用ref关键字,如果只是传递参数就不需要。

关于coverpoint中队列bins

不指定尺寸的队列型bins会为bins描述的值的个数创建对应个数的bins,每个bins监视一个值。
指定尺寸的队列型bins会产生指定个数的bins,会将描述的值均分到各个bins中

bit [2:0] data
covergroup cg_data;
	cp_data : coverpoint data {
    
		bins data_bins_1[ ] = {
    [$:4]}; 
		//会自动产生5个bins,每个bins包含一个数值,对应0,1,2,3,4
		
		bins data_bins_2[2] = {
    [2:4],[5:7]}; 
		// 限制了该队列只有两个容器,数值从2,3,4,5,6,7均分,第一个包含2,3,4,第二个包含5,6,7
		
		bins data_bins_3[3] = {
    [0:2], [4:7]};
		//该队列左边表达式有7个值,但要分到三个容器里,一般会把剩下的值放到最后的容器里
		//最终三个容器对应值为{0,1}, {2,4}, {5,6,7}
		
		bins data_bins_4[] = {
    [0:7]};
		bins data_bins_5   = {
    [0:7]};
		//上面两的结果是不同的,第一个会为0~7共8个值产生8个容器,每个容器对应不同的值,
		//这与自创建容器得到的结果一致
		//而第二个只会有一个容器,其值是一个范围[0:7],data落在这个范围则该容器被击中
		
		bins data_bins_6[] = ( 3,4 => 5,7 );
		//创建了四个transition bins,分别覆盖(3=>5),(3=>7), (4=>5),(4=>7)	
	}
endgroup

coverpoint的变量是枚举类型

coverpoint的变量需要时整数型的,自然也能对枚举类型的变量进行采样

typedef enum {
     A,B,C,D } alpha;
program main;
alpha y;
alpha values[$]= '{
    A,B,C};

covergroup cg;
cover_point_y : coverpoint y;
endgroup

cg cg_inst = new();
initial
foreach(values[i])
begin
y = values[i];
cg_inst.sample();
end

endprogram
Coverage report:
---------------------
VARIABLE : cover_point_y
Expected : 4
Covered : 3
Percent: 75.00

Uncovered bins
--------------------
auto_D

Covered bins
--------------------
auto_C
auto_B
auto_A

default bins

default bins所cover的值是coverpoint变量所没有在其它bins中出现过的值,比如下面例子中的default bins的cover值是y除[0:4]以外的值,default bins 不会被用于计算covergroup覆盖率值

program main;
bit [0:3] y;
bit [0:2] values[$]= '{
    3,5,6};

covergroup cg;
cover_point_y : coverpoint y {
    
bins a[2] = {
    [0:4]};
bins d = default;
}

endgroup

cg cg_inst = new();
initial
foreach(values[i])
begin
y = values[i];
cg_inst.sample();
end

endprogram

http://www.testbench.in/CO_09_TRANSITION_BINS.html
不同仿真器的覆盖率报告生成命令:
http://www.testbench.in/CO_04_COVER_POINTS.html

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_43249032/article/details/84872762

智能推荐

java常见面试题(160道)_java面试题-程序员宅基地

文章浏览阅读5.4w次,点赞89次,收藏746次。java常见面试题_java面试题

LeetCode刷题总结(C语言版)_leetcode c语言-程序员宅基地

文章浏览阅读5.4k次,点赞6次,收藏73次。编程总结每每刷完一道题后,其思想和精妙之处没有地方记录,本篇博客用以记录刷题过程中的遇到的算法和技巧001)两数之和给定一个整数数组 nums 和一个目标值 target,请你在该数组中找出和为目标值的两个整数。你可以假设每种输入只会对应一个答案。但是,你不能重复利用这个数组中同样的元素。给定 nums = [2, 7, 11, 15], target = 9因为 nums[0] ..._leetcode c语言

小程序开发者工具正常显示,但是真机调试和真机中安卓加载正常ios加载首页失败,首页的请求返回204_苹果 sec-fetch-dest-程序员宅基地

文章浏览阅读125次。检查请求头中的’sec-fetch-dest’: ‘document’ ,是否进行了特殊处理(node层)_苹果 sec-fetch-dest

ansible 批量安装zabbix-agent-程序员宅基地

文章浏览阅读321次。服务器初始化(这是在建立在新的服务器基础上做的初始化)关闭防火墙、selinux,添加epel常用源,安装常用工具、添加普通用户并禁止root1、服务器批量初始化[root@fwd ansible]# cat init.yml 系统初始化脚本---- hosts: all tasks: - name: disable selinux、firew..._ansible批量安装zabbix-agent

java日志系统--log4j配置解析过程,源码分析_log4j 源码分析 读取配置-程序员宅基地

文章浏览阅读1.4w次,点赞3次,收藏2次。Logger.getLogger(Test.class);从getLogger开始,就启动了log4j的整个工作流程,通过调用LogManager获取logger实例return LogManager.getLogger(clazz.getName());LogManager类里面有个静态块static{},【初始化重要信息】【root logger】,做一些配置,其中url = Loader.ge_log4j 源码分析 读取配置

心灵震撼《一个8岁女孩的遗书》看完能有几人不哭…-程序员宅基地

文章浏览阅读533次。无奈的父亲­有一个美丽的小女孩,她的名字叫余艳,她有一双亮晶晶的大眼睛她有一颗透明的童心.她是一个孤儿,她在这个世界上只活了8年,她留在这个世界上最后的一句话是“我来过,我很乖”她希望死在秋天,纤瘦的身体就像一朵花自然开谢的过程.在遍地黄花堆积,落叶空中旋舞的时候,她会看见横空远行的雁儿们.她自愿放弃治疗,把全世界华人捐给她的54万分成了7份,把生命当成希望的蛋糕分给了7个正徘徊在生死线上的小

随便推点

EV/HEV中的牵引逆变器驱动优化-程序员宅基地

文章浏览阅读1.6k次,点赞42次,收藏35次。什么是牵引逆变器?从本质上讲,牵引逆变器是电动汽车动力系统中的一个子系统,它从电池中获取高电压,并将其转换为交流电压——因此被称为逆变器——并基本上为电机供电。它控制电机速度和扭矩,直接影响效率和可靠性,这正成为牵引逆变器设计的设计挑战。此图片来源于网络如今的电动汽车至少有一个牵引逆变器。有些型号实际上不止一个。一个在前轴上,一个在后轴上。甚至一些高端车型实际上每个车轮都有一个牵引逆变器。因此,效率和可靠性非常重要。所以,从逆变器和电机控制的市场趋势来看——从技术趋势来看,我们看到了功率水平的提高。

Ubuntu之apt命令_ubuntu18.04 atp命令使用技巧-程序员宅基地

文章浏览阅读134次。简介apt-cache和apt-get是apt包的管理工具,他们根据/etc/apt/sources.list里的软件源地址列表搜索目标软件、并通过维护本地软件包列表来安装和卸载软件。查看本机是否安装软件:whereis package_name 或者which package_name1.搜索软件sudo apt-cache search pa..._ubuntu18.04 atp命令使用技巧

查询Dynamics 365的Audit History_dynamics 审核历史记录如何查询-程序员宅基地

文章浏览阅读150次。【代码】查询Dynamics 365的Audit History。_dynamics 审核历史记录如何查询

python yield函数的用法-程序员宅基地

文章浏览阅读1.3w次,点赞15次,收藏66次。什么是yield函数?yield函数是python里面的关键字,带有yield的函数相当于一个生成器generator.当你使用一个yield的时候,对应的函数就是一个生成器在python里面类似于return函数,他们主要的区别就是:遇到return会直接返回值,不会执行接下来的语句.但是yield并不是,在本次迭代返回之后,yield函数在下一次迭代时,从上一次迭代遇到的yield后面的代码(下一行)开始执行下面是案例分析:案例一:def gen_generator(): yiel_yield函数

【QT笔记】QFile读文件问题_qfileread后指针会移动吗-程序员宅基地

文章浏览阅读917次。如果不用seek(0)的话,默认是自己会把读取文件的指针后移的,不用手动后移;_qfileread后指针会移动吗

dw8051基本测试示例_dw8051 part1-程序员宅基地

文章浏览阅读2.5k次。整理了网上一份简单的dw8051测试示例,共享到云盘:http://pan.baidu.com/s/1bnu9lZT1.目录如下:---dut ---rtl:DW8051的core文件 ---model:ROM和RAM的model文件---testbench ---rtl.f:filelist文件 ---test_top.v:仿真的top_dw8051 part1