UART 串口通信_uart串口通信-程序员宅基地

技术标签: fpga开发  FPGA  # FPGA 开发  

第18.1讲 UART串口通信原理讲解_哔哩哔哩_bilibili

并行通信

一个周期同时发送8bit的数据,占用引脚资源多

img

串行通信

img

串行通信的通信方式:

  • 同步通信

同一时钟下进行数据传输

img

  • 异步通信

发送设备和接收设备的时钟不同

但是需要约束波特率(1s内传输的bit数)

img

串行通信的传输方向:

img

常见串行通信接口

img

UART

UART(universal asynchronous receiver-transmitter):通用异步收发传输器

异步串行通信

功能:

  • 发送数据时将并行数据转换为串行数据进行传输
  • 接收数据时将串行数据转换为并行行数据进行传输

协议层

数据格式

img

校验位:奇偶校验

UART使用两根信号线实现,一根用于串口发送,另一根负责串口接收

传输速率 波特率

串口通信的速率用波特率表示,它表示每秒传输的二进制数据的位数,单位为bps(位/秒)

9600 19200 38400…

1s=109ns1 s = 10^9 ns 1s=109ns

假设波特率是115200 bit/s

那么发送一个bit需要 10910^9109/ 115200 ns

当频率为50Hz的时候,一个周期为 20 ns

发送一个bit需要的周期数为: 109/115200/2010^9/115200/20109/115200/20= 434

拉低的起始位,拉高的数据为,校验位,停止位都需要434个周期

物理层:接口标准

img

负逻辑电平:

1对应负电压,0对应正电压

3线:TX RX GND

差分传输:

img

RS232

img

DB9接口定义

img

USB接口

img

Data -/+ 差分信号

实验

实验任务

开发板与上位机通过串口通信,完成数据环回实验

img

程序设计

img

串口接收、发送:

img

uart_recv

串行转并行

module uart_recv (
    input               clk,
    input               rst,

    input               uart_rxd,
    output reg [7:0]    uart_data,
    output reg          uart_done
);



// 抓取接收信号下降沿(获取数据接收的标志)
reg uart_rxd_cur, uart_rxd_pre;
wire start_flag;
assign start_flag = ~uart_rxd_cur & uart_rxd_pre;
always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_rxd_cur <= 1'b0;
        uart_rxd_pre <= 1'b0;
    end
    else begin
        uart_rxd_cur <= uart_rxd;
        uart_rxd_pre <= uart_rxd_cur;
    end
end

// 定义常量
parameter CLK_FREQ = 50000000;
parameter UART_BPS = 115200;
// 不可更改的常量
localparam BPS_CNT = CLK_FREQ / UART_BPS;

reg rx_flag;
reg [3:0] rx_cnt;
reg [8:0] clk_cnt;


always @(posedge clk or posedge rst) begin
    if(rst)
        rx_flag <= 1'b0;
    else begin
        if(start_flag)
            rx_flag <= 1'b1;
        else if(rx_cnt == 4'd9 && (clk_cnt == BPS_CNT/2))
            // 8个bit数据传输完成,且经过半个波特的停止位
            rx_flag <= 1'b0;
        else
            rx_flag <= rx_flag;
    end
end

// clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        clk_cnt <= 1'b0;
    else if(rx_flag) begin
        if(clk_cnt < BPS_CNT - 1)
            clk_cnt <= clk_cnt + 1'b1;
        else
            clk_cnt <= 9'd0;
    end
    else
        clk_cnt <= 9'b0;
end

// rx_cnt 根据 clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        rx_cnt <= 4'd0;
    else if(rx_flag) begin
        if(clk_cnt == BPS_CNT - 1)
            rx_cnt <= rx_cnt + 1'b1;
        else
            rx_cnt <= rx_cnt;
    end
    else
        rx_cnt <= 4'b0;

end

// 在中间值的时候赋值
reg [7:0] rx_data; // 临时寄存器(寄存数据)
always @(posedge clk or posedge rst) begin
    if(rst)
        rx_data <= 8'd0;
    else if(rx_flag) begin
        if(clk_cnt == BPS_CNT / 2) begin
            rx_data[rx_cnt - 4'b1] <= uart_rxd_pre;
        end
        else
            rx_data <= rx_data;
    end
    else
        rx_data <= 8'd0;
end

// 设置输出数据uart_data和输出完成信号uart_done
always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_data <= 8'd0;
        uart_done <= 1'b0;
    end
    else if(rx_cnt == 4'd9) begin
        uart_data <= rx_data;
        uart_done <= 1'b1;
    end
    else begin
        uart_data <= 8'd0;
        uart_done <= 1'b0;
    end
end

endmodule

uart_send

并行转串行

module uart_send (
    input   clk,
    input   rst,

    input   uart_en,
    input   [7:0] uart_din,
    output  reg uart_txd,
    output  uart_rx_busy
);

// 抓取uart_en上升沿
reg uart_en_pre, uart_en_cur;
wire en_flag;
assign en_flag = ~uart_en_pre & uart_en_cur;

always @(posedge clk or posedge rst) begin
    if(rst) begin
        uart_en_pre <= 1'b0;
        uart_en_cur <= 1'b0;
    end
    else begin
        uart_en_cur <= uart_en;
        uart_en_pre <= uart_en_cur; 
    end
end

reg [7:0] tx_data;
reg tx_flag;
reg [3:0] tx_cnt;
reg [8:0] clk_cnt;
// 定义常量
parameter CLK_FREQ = 50000000;
parameter UART_BPS = 115200;
// 不可更改的常量
localparam BPS_CNT = CLK_FREQ / UART_BPS;
// 写信号忙
assign uart_rx_busy = tx_flag;

// clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        clk_cnt <= 1'b0;
    else if(tx_flag) begin
        if(clk_cnt < BPS_CNT - 1)
            clk_cnt <= clk_cnt + 1'b1;
        else
            clk_cnt <= 9'd0;
    end
    else
        clk_cnt <= 9'b0;
end

// tx_cnt 根据 clk_cnt 计数
always @(posedge clk or posedge rst) begin
    if(rst)
        tx_cnt <= 4'd0;
    else if(tx_flag) begin
        if(clk_cnt == BPS_CNT - 1)
            tx_cnt <= tx_cnt + 1'b1;
        else
            tx_cnt <= tx_cnt;
    end
    else
        tx_cnt <= 4'b0;

end

always @(posedge clk or posedge rst) begin
    if(rst) begin
        tx_flag <= 1'b0;
        tx_data <= 8'd0;
    end
    else begin
       if(en_flag) begin    // 写使能
            tx_flag <= 1'b1;    // 写标志
            tx_data <= uart_din; // 暂存数据
       end
       else if(tx_cnt == 4'd9 && clk_cnt == (BPS_CNT-BPS_CNT/16)) begin
            // 传输结束
            tx_flag <= 1'b0;
            tx_data <= 8'd0;
       end
       else begin
            tx_flag <= tx_flag;
            tx_data <= tx_data;
       end
    end
end

// uart_txd 传输数据
always @(posedge clk or posedge rst) begin
    if(rst)
        uart_txd <= 1'b1;
    else if(tx_flag) begin
        if(tx_cnt == 4'd0) uart_txd <= 1'b0; // start bit拉低
        else if(tx_cnt == 4'd9) uart_txd <= 1'b1; // stop bit拉低
        else uart_txd <= tx_data[tx_cnt - 4'b1]; // 传输数据(cnt比bit位计数多1)
    end
    else uart_txd <= 1'b1;
end




endmodule

uart_loopback_top

三个模块对应信号连接

module uart_loopback_top(
    input  sys_clk,
    input  sys_rst,

    input  uart_rxd,
    output uart_txd
);

wire uart_en;
wire [7:0] uart_din;
wire [7:0] uart_data;
wire uart_done;
wire uart_rx_busy;

uart_recv uart_recv_u(
    .clk        (sys_clk),
    .rst        (sys_rst),
    .uart_rxd   (uart_rxd),
    .uart_data  (uart_data),
    .uart_done  (uart_done) 

);

uart_send uart_send_u(
    .clk            (sys_clk),
    .rst            (sys_rst),
    .uart_en        (uart_en),
    .uart_din       (uart_din),
    .uart_txd       (uart_txd),
    .uart_rx_busy   (uart_rx_busy)
);

uart_loop uart_loop_u(
    .clk         (sys_clk),
    .rst         (sys_rst),
    .recv_done   (uart_done),
    .recv_data   (uart_data),
    .tx_busy     (uart_rx_busy),
    .send_en     (uart_en),
    .send_data   (uart_din)
);

endmodule

约束

create_clock -period 20.000 -name clk [get_ports {
    sys_clk}]

#Clock signal
set_property -dict {
     PACKAGE_PIN L16   IOSTANDARD LVCMOS33 } [get_ports {
     sys_clk }];

#Buttons
set_property -dict {
     PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports {
     rst }];

set_property -dict {
     PACKAGE_PIN B12   IOSTANDARD LVCMOS18 } [get_ports {
     uart_rxd }];
set_property -dict {
     PACKAGE_PIN C12   IOSTANDARD LVCMOS18 } [get_ports {
     uart_txd }];

这里的约束找不到对应的 zybo 开发板的,并没有跑起来

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/qq_45364953/article/details/129408573

智能推荐

oracle 12c 集群安装后的检查_12c查看crs状态-程序员宅基地

文章浏览阅读1.6k次。安装配置gi、安装数据库软件、dbca建库见下:http://blog.csdn.net/kadwf123/article/details/784299611、检查集群节点及状态:[root@rac2 ~]# olsnodes -srac1 Activerac2 Activerac3 Activerac4 Active[root@rac2 ~]_12c查看crs状态

解决jupyter notebook无法找到虚拟环境的问题_jupyter没有pytorch环境-程序员宅基地

文章浏览阅读1.3w次,点赞45次,收藏99次。我个人用的是anaconda3的一个python集成环境,自带jupyter notebook,但在我打开jupyter notebook界面后,却找不到对应的虚拟环境,原来是jupyter notebook只是通用于下载anaconda时自带的环境,其他环境要想使用必须手动下载一些库:1.首先进入到自己创建的虚拟环境(pytorch是虚拟环境的名字)activate pytorch2.在该环境下下载这个库conda install ipykernelconda install nb__jupyter没有pytorch环境

国内安装scoop的保姆教程_scoop-cn-程序员宅基地

文章浏览阅读5.2k次,点赞19次,收藏28次。选择scoop纯属意外,也是无奈,因为电脑用户被锁了管理员权限,所有exe安装程序都无法安装,只可以用绿色软件,最后被我发现scoop,省去了到处下载XXX绿色版的烦恼,当然scoop里需要管理员权限的软件也跟我无缘了(譬如everything)。推荐添加dorado这个bucket镜像,里面很多中文软件,但是部分国外的软件下载地址在github,可能无法下载。以上两个是官方bucket的国内镜像,所有软件建议优先从这里下载。上面可以看到很多bucket以及软件数。如果官网登陆不了可以试一下以下方式。_scoop-cn

Element ui colorpicker在Vue中的使用_vue el-color-picker-程序员宅基地

文章浏览阅读4.5k次,点赞2次,收藏3次。首先要有一个color-picker组件 <el-color-picker v-model="headcolor"></el-color-picker>在data里面data() { return {headcolor: ’ #278add ’ //这里可以选择一个默认的颜色} }然后在你想要改变颜色的地方用v-bind绑定就好了,例如:这里的:sty..._vue el-color-picker

迅为iTOP-4412精英版之烧写内核移植后的镜像_exynos 4412 刷机-程序员宅基地

文章浏览阅读640次。基于芯片日益增长的问题,所以内核开发者们引入了新的方法,就是在内核中只保留函数,而数据则不包含,由用户(应用程序员)自己把数据按照规定的格式编写,并放在约定的地方,为了不占用过多的内存,还要求数据以根精简的方式编写。boot启动时,传参给内核,告诉内核设备树文件和kernel的位置,内核启动时根据地址去找到设备树文件,再利用专用的编译器去反编译dtb文件,将dtb还原成数据结构,以供驱动的函数去调用。firmware是三星的一个固件的设备信息,因为找不到固件,所以内核启动不成功。_exynos 4412 刷机

Linux系统配置jdk_linux配置jdk-程序员宅基地

文章浏览阅读2w次,点赞24次,收藏42次。Linux系统配置jdkLinux学习教程,Linux入门教程(超详细)_linux配置jdk

随便推点

matlab(4):特殊符号的输入_matlab微米怎么输入-程序员宅基地

文章浏览阅读3.3k次,点赞5次,收藏19次。xlabel('\delta');ylabel('AUC');具体符号的对照表参照下图:_matlab微米怎么输入

C语言程序设计-文件(打开与关闭、顺序、二进制读写)-程序员宅基地

文章浏览阅读119次。顺序读写指的是按照文件中数据的顺序进行读取或写入。对于文本文件,可以使用fgets、fputs、fscanf、fprintf等函数进行顺序读写。在C语言中,对文件的操作通常涉及文件的打开、读写以及关闭。文件的打开使用fopen函数,而关闭则使用fclose函数。在C语言中,可以使用fread和fwrite函数进行二进制读写。‍ Biaoge 于2024-03-09 23:51发布 阅读量:7 ️文章类型:【 C语言程序设计 】在C语言中,用于打开文件的函数是____,用于关闭文件的函数是____。

Touchdesigner自学笔记之三_touchdesigner怎么让一个模型跟着鼠标移动-程序员宅基地

文章浏览阅读3.4k次,点赞2次,收藏13次。跟随鼠标移动的粒子以grid(SOP)为partical(SOP)的资源模板,调整后连接【Geo组合+point spirit(MAT)】,在连接【feedback组合】适当调整。影响粒子动态的节点【metaball(SOP)+force(SOP)】添加mouse in(CHOP)鼠标位置到metaball的坐标,实现鼠标影响。..._touchdesigner怎么让一个模型跟着鼠标移动

【附源码】基于java的校园停车场管理系统的设计与实现61m0e9计算机毕设SSM_基于java技术的停车场管理系统实现与设计-程序员宅基地

文章浏览阅读178次。项目运行环境配置:Jdk1.8 + Tomcat7.0 + Mysql + HBuilderX(Webstorm也行)+ Eclispe(IntelliJ IDEA,Eclispe,MyEclispe,Sts都支持)。项目技术:Springboot + mybatis + Maven +mysql5.7或8.0+html+css+js等等组成,B/S模式 + Maven管理等等。环境需要1.运行环境:最好是java jdk 1.8,我们在这个平台上运行的。其他版本理论上也可以。_基于java技术的停车场管理系统实现与设计

Android系统播放器MediaPlayer源码分析_android多媒体播放源码分析 时序图-程序员宅基地

文章浏览阅读3.5k次。前言对于MediaPlayer播放器的源码分析内容相对来说比较多,会从Java-&amp;amp;gt;Jni-&amp;amp;gt;C/C++慢慢分析,后面会慢慢更新。另外,博客只作为自己学习记录的一种方式,对于其他的不过多的评论。MediaPlayerDemopublic class MainActivity extends AppCompatActivity implements SurfaceHolder.Cal..._android多媒体播放源码分析 时序图

java 数据结构与算法 ——快速排序法-程序员宅基地

文章浏览阅读2.4k次,点赞41次,收藏13次。java 数据结构与算法 ——快速排序法_快速排序法